虫虫首页|资源下载|资源专辑|精品软件
登录|注册

WH-<b>sw</b>-B

  • %直接型到并联型的转换 % %[C,B,A]=dir2par(b,a) %C为当b的长度大于a时的多项式部分 %B为包含各bk的K乘2维实系数矩阵 %A为包含各ak的K乘3维实系数矩阵 %

    %直接型到并联型的转换 % %[C,B,A]=dir2par(b,a) %C为当b的长度大于a时的多项式部分 %B为包含各bk的K乘2维实系数矩阵 %A为包含各ak的K乘3维实系数矩阵 %b为直接型分子多项式系数 %a为直接型分母多项式系数 %

    标签: dir par 系数 矩阵

    上传时间: 2014-01-19

    上传用户:lizhen9880

  • 直接型到级联型的形式转换 % [b0,B,A]=dir2cas(b,a) %b 为直接型的分子多项式系数 %a 为直接型的分母多项式系数 %b0为增益系数 %B 为包含各bk的K乘3维实系数

    直接型到级联型的形式转换 % [b0,B,A]=dir2cas(b,a) %b 为直接型的分子多项式系数 %a 为直接型的分母多项式系数 %b0为增益系数 %B 为包含各bk的K乘3维实系数矩阵 %A 为包含各ak的K乘3维实系数矩阵 %

    标签: 系数 dir cas 多项式

    上传时间: 2013-12-29

    上传用户:agent

  • B树及其B+树的实现代码

    B树及其B+树的实现代码,支持模版(数据类型,M值)

    标签: 代码

    上传时间: 2016-02-21

    上传用户:jhksyghr

  • 欧几里德算法:辗转求余  原理: gcd(a,b)=gcd(b,a mod b)  当b为0时,两数的最大公约数即为a  getchar()会接受前一个scanf的回车符

    欧几里德算法:辗转求余  原理: gcd(a,b)=gcd(b,a mod b)  当b为0时,两数的最大公约数即为a  getchar()会接受前一个scanf的回车符

    标签: gcd getchar scanf mod

    上传时间: 2014-01-10

    上传用户:2467478207

  • //9488定时器B功能测试 9488定时器B功能测试B:DAMI调试通过: 9488 8位定时器B的使用 有关的I/O为三个:TBPWM(输出)(P1.0) 模式有:间隔定时功能,

    //9488定时器B功能测试 9488定时器B功能测试B:DAMI调试通过: 9488 8位定时器B的使用 有关的I/O为三个:TBPWM(输出)(P1.0) 模式有:间隔定时功能,PWM模式 有定时中断:定时器B溢出中断

    标签: 9488 TBPWM DAMI 定时器

    上传时间: 2017-06-01

    上传用户:ryb

  • 1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现

    1.有三根杆子A,B,C。A杆上有若干碟子 2.每次移动一块碟子,小的只能叠在大的上面 3.把所有碟子从A杆全部移到C杆上 经过研究发现,汉诺塔的破解很简单,就是按照移动规则向一个方向移动金片: 如3阶汉诺塔的移动:A→C,A→B,C→B,A→C,B→A,B→C,A→C 此外,汉诺塔问题也是程序设计中的经典递归问题

    标签: 移动 发现

    上传时间: 2016-07-25

    上传用户:gxrui1991

  • 给定两个集合A、B

    给定两个集合A、B,集合内的任一元素x满足1 ≤ x ≤ 109,并且每个集合的元素个数不大于105。我们希望求出A、B之间的关系。 任 务 :给定两个集合的描述,判断它们满足下列关系的哪一种: A是B的一个真子集,输出“A is a proper subset of B” B是A的一个真子集,输出“B is a proper subset of A” A和B是同一个集合,输出“A equals B” A和B的交集为空,输出“A and B are disjoint” 上述情况都不是,输出“I m confused!”

    标签:

    上传时间: 2017-03-15

    上传用户:yulg

  • VK3604A/B小体积蓝牙音箱4键触摸触控检测芯片多种输出方式选择:锁存/直接输出

    产品型号:VK3604A 产品品牌:VINKA/永嘉微电 封装形式:SOP16 产品年份:新年份 联 系 人:陈锐鸿 Q Q:361 888 5898 联系手机:188 2466 2436(信) 概述: VK3604/VK3604A具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有较高的 集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路输出功能,可通过IO脚选择输出电平,输出模式,输出脚结构,单键/多键和最 长输出时间。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可减少按键检测错误的 发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO输 出的应用提供了一种简单而又有效的实现方法。 特点: • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:工作模式 48mS ,待机模式160mS • 通过AHLB脚选择输出电平:高电平有效或者低电平有效 • 通过TOG脚选择输出模式:直接输出或者锁存输出 • 通过SOD脚选择输出方式:CMOS输出或者开漏输出 • 通过SM脚选择输出:多键有效或者单键有效 • 通过MOT脚有效键最长输出时间:无穷大或者16S • 通过CS脚接对地电容调节整体灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF) • 上电0.25S内为稳定时间,禁止触摸 • 上电后4S内自校准周期为64mS,4S无触摸后自校准周期为1S • 封装SOP16(150mil)(9.9mm x 3.9mm PP=1.27mm) ———————————————— 产品型号:VK3604B 产品品牌:VINKA/永嘉微电 封装形式:TSSOP16 产品年份:新年份 联 系 人:陈锐鸿 1.概述 VK3604B具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有 较高的集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路直接输出功能。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可 减少按键检测错误的发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO 输出的应用提供了一种简单而又有效的实现方法。   特点  • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:  工作模式 48mS 待机模式160mS • CMOS输出,低电平有效,支持多键  • 有效键最长输出16S • 无触摸4S自动校准  • 专用脚接对地电容调节灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF). • 上电0.25S内为稳定时间,禁止触摸. • 封装 TSSOP16L(4.9mm x 3.9mm PP=1.00mm) KPP841 标准触控IC-电池供电系列: VKD223EB --- 工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面  最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD223B ---  工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面   最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD233DB --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6   通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DH ---工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6  通讯界面:直接输出,锁存(toggle)输出  有效键最长时间检测16S VKD233DS --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DR --- 工作电压/电流:2.4V-5.5V/1.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流1.5uA-3V VKD233DG --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出   低功耗模式电流2.5uA-3V  VKD233DQ --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 通讯界面:直接输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD233DM --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 (开漏输出) 通讯界面:开漏输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD232C  --- 工作电压/电流:2.4V-5.5V/2.5uA-3V   感应通道数:2  封装:SOT23-6   通讯界面:直接输出,低电平有效  固定为多键输出模式,内建稳压电路 MTP触摸IC——VK36N系列抗电源辐射及手机干扰: VK3601L  --- 工作电压/电流:2.4V-5.5V/4UA-3V3  感应通道数:1  1对1直接输出 待机电流小,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOT23-6 VK36N1D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:1  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK36N2P --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2    脉冲输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK3602XS ---工作电压/电流:2.4V-5.5V/60UA-3V  感应通道数:2  2对2锁存输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK3602K --- 工作电压/电流:2.4V-5.5V/60UA-3V   感应通道数:2   2对2直接输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK36N2D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOP8 VK36N3BT ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码锁存输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BD ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BO ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码开漏输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP8/DFN8(超小超薄体积) VK36N3D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4I---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5D ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6D --- 工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N9I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:9    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N10I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:10    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) 1-8点高灵敏度液体水位检测IC——VK36W系列 VK36W1D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:1 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOT23-6 备注:1. 开漏输出低电平有效  2、适合需要抗干扰性好的应用 VK36W2D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:2 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP8 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W4D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:4 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W6D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:6 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出    2、输出模式/输出电平可通过IO选择 VK36W8I  ---工作电压/电流:2.2V-5.5V/10UA-3V3  I2C输出    水位检测通道:8 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  IIC+INT输出     2、输出模式/输出电平可通过IO选择  KPP841

    标签: 3604 输出 VK 体积 蓝牙音箱 检测 方式 芯片 触控 锁存

    上传时间: 2022-04-11

    上传用户:shubashushi66

  • %radon transform clear all % N=800 n=1:N fs=200 t=n/fs x1=exp(j*2*pi*(5*t+0.5*5*t.^2

    %radon transform clear all % N=800 n=1:N fs=200 t=n/fs x1=exp(j*2*pi*(5*t+0.5*5*t.^2)) x2=exp(j*2*pi*(5*t+0.5*15*t.^2)) x=x1+x2 %N=length(x) % ambifunb(x ) %*****************************************RAT naf=ambifunb(x) htl(abs(naf)) % [wh,rho,theta]=htl(abs(naf)) colormap([0,0,0]) % xlabel( 极半径 ) % ylabel( 角度 ) %**************************************%找出峰值点的坐标,计算初始频率和调频斜率(正确) %找出峰值点的坐标 b=max(max(wh)) [u,a]=find(wh>=0.8*b)

    标签: transform radon clear fs

    上传时间: 2014-10-27

    上传用户:Yukiseop

  • 可重构FPGA通讯纠错进化电路及其实现

    ASIC对产品成本和灵活性有一定的要求.基于MCU方式的ASIC具有较高的灵活性和较低的成本,然而抗干扰性和可靠性相对较低,运算速度也受到限制.常规ASIC的硬件具有速度优势和较高的可靠性及抗干扰能力,然而不是灵活性较差,就是成本较高.与传统硬件(CHW)相比,具有一定可配置特性的场可编程门阵列(FPGA)的出现,使建立在可再配置硬件基础上的进化硬件(EHW)成为智能硬件电路设计的一种新方法.作为进化算法和可编程器件技术相结合的产物,可重构FPGA的研究属于EHW的研究范畴,是研究EHW的一种具体的实现方法.论文认为面向分类的专用类可重构FPGA(ASR-FPGA)的研究,可使可重构电路粒度划分的针对性更强、设计更易实现.论文研究的可重构FPGA的BCH通讯纠错码进化电路是一类ASR-FPGA电路的具体方法,具有一定的实用价值.论文所做的工作主要包括:(1)BCH编译码电路的设计——求取实验用BCH码的生成多项式和校验多项式及其相应的矩阵并构造实验用BCH码;(2)建立基于可重构FPGA的基核——构造具有可重构特性的硬件功能单元,以此作为可重构BCH码电路的设计基础;(3)构造实现可重构BCH纠错码电路的方法——建立可重构纠错码硬件电路算法并进行实验验证;(4)在可重构纠错码电路基础上,构造进化硬件控制功能块的结构,完成各进化RLA控制模块的验证和实现.课题是将可重构BCH码的编译码电路的实现作为一类ASR-FPGA的研究目标,主要成果是根据可编程逻辑电路的特点,选择一种可编程树的电路模型,并将它作为可重构FPGA电路的基核T;通过对循环BCH纠错码的构造原理和电路结构的研究,将基核模型扩展为能满足纠错码电路需要的纠错码基本功能单元T;以T作为再划分的基本单元,对FPGA进行"格式化",使T规则排列在FPGA上,通过对T的控制端的不同配置来实现纠错码的各个功能单元;在可重构基核的基础上提出了纠错码重构电路的嵌套式GA理论模型,将嵌套式GA的染色体串作为进化硬件描述语言,通过转换为相应的VHDL语言描述以实现硬件电路;采用RLA模型的有限状态机FSM方式实现了可重构纠错码电路的EHW的各个控制功能块.在实验方面,利用Xilinx FPGA开发系统中的VHDL语言和电路图相结合的设计方法建立了循环纠错码基核单元的可重构模型,进行循环纠错BCH码的电路和功能仿真,在Xilinx公司的Virtex600E芯片进行了FPGA实现.课题在研究模型上选取的是比较基本的BCH纠错码电路,立足于解决基于可重构FPGA核的设计的基本问题.课题的研究成果及其总结的一套ASR-FPGA进化硬件电路的设计方法对实际的进化硬件设计具有一定的实际指导意义,提出的基于专用类基核FPGA电路结构的研究方法为新型进化硬件的器件结构的设计也可提供一种借鉴.

    标签: FPGA 可重构 通讯 纠错

    上传时间: 2013-06-30

    上传用户:myworkpost